CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - audio VHDL

搜索资源列表

  1. SPI接口音频Codec实验

    0下载:
  2. ALTERA NIOS处理器,VHDL语言在QUARTUS编译通过,然后有C语言在NIOS SHELL下驱动,实验音频解码-Altera NIOS processor, the QUARTUS VHDL compiler, then the C language under NIOS SHELL-driven, experimental audio decoder
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:34889
    • 提供者:xf
  1. I2S

    2下载:
  2. 这是一个I2S接口的VHDL实现源代码,I2S是一个通用的音频接口。-This is a I2S interface VHDL source code, I2S is a generic audio interface.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1583062
    • 提供者:孙浩
  1. VHDLSourceProgramofAudioFrequencySignalGenerator.r

    0下载:
  2. 音频信号发生器的VHDL源程序,在FPGA中实现不同频率的输出并将按键信息送给数码管显示。-VHDL source program of Audio Frequency Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1011
    • 提供者:杨波
  1. DE2_SD_Card_Audio

    0下载:
  2. DE2_SD_Card_Audio是基于DE II的音频从SD卡读入的VHDL语言程序-DE II on the basis of DE2_SD_Card_Audio audio from the SD card is read into the VHDL Language Program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2512
    • 提供者:东风
  1. Songer

    0下载:
  2. 用VHDL实现的一个简易音频播放器,非常实用的源代码-VHDL achieved with a simple audio player, a very useful source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:237564
    • 提供者:ygl
  1. ADPCM_audio_codec

    1下载:
  2. ADPCM语音编解码电路设计及FPGA实现。利用FPGA进行ADPCM编码与解码。-ADPCM voice codec circuit design and FPGA realization. FPGA for use ADPCM encoding and decoding.
  3. 所属分类:Multimedia program

    • 发布日期:2017-03-26
    • 文件大小:126262
    • 提供者:水牛EDA
  1. APU

    0下载:
  2. 音频处理单元 vhdl 代码 很不容易找来的-Audio Processing Unit vhdl code
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:165441
    • 提供者:chpliao
  1. I2S

    0下载:
  2. 此设计主要是完成音频I2S格式数据流的串并转换和并串转换,用VHDL描述-This design is to complete the audio I2S format data stream serial to parallel conversion and parallel to serial conversion in VHDL
  3. 所属分类:Audio program

    • 发布日期:2017-03-21
    • 文件大小:5473
    • 提供者:
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. DE2_115_Audio

    0下载:
  2. 这个代码主要基于VHDL语言来实现音频控制功能。-This code mainly realizes the audio control function based on the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1918316
    • 提供者:罗乃好
  1. vhdl1

    0下载:
  2. 该程序实现了运用VHDL实现数字音频滤波,同时在FIR 滤波过程中减少了加法器和乘法器使用数量,大大减小了内存-The program implements the use of VHDL digital audio filtering, while in the FIR filtering process to reduce the number of adders and multipliers used, which greatly reduces the memory
  3. 所属分类:Communication

    • 发布日期:2017-11-19
    • 文件大小:1374439
    • 提供者:张彬
  1. ATLYS AC97

    0下载:
  2. AC'97 codec Jose Juan Garcia-Hernandez from Cinvestav Tamaulipas has written a VHDL implementation of AC'97 for the Atlys. It includes a demo that passes audio from line in to line out, and allows volume and channel to be controlled. He has kindly re
  3. 所属分类:VHDL编程

  1. spdif_rel_1_1

    0下载:
  2. SPDIF Audio Interface Control VHDL Code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:338951
    • 提供者:richman
  1. Microwave-controller--FPGA

    0下载:
  2. 本文介绍了基于FPGA的微波炉控制器系统的设计与实现过程。系统采用采用先进的EDA 技术,利用VHDL 设计语言,设计一种新型的微波炉控制器。该控制器具有系统复位、状态控制、时间设定、火力档位选择、烹饪计时、温度控制、显示译码和音效提示等功能,加之采用独特的软件算法,较为理性的满足了题目的各个要求。-Microwave-based FPGA-based controller design This article describes the design and implementation o
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-25
    • 文件大小:426035
    • 提供者:王小雨
  1. signal_detector

    0下载:
  2. A behavioral descr iption of a noise cancellation based on audio detection or RF detection, written in a synthesizable subset of VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:708405
    • 提供者:Hung
  1. audio1

    0下载:
  2. a good vhdl code for audio configuration altera de1 bored a good vhdl code for audio configuration altera de1 bored a good vhdl code for audio configuration altera de1 bored -a good vhdl code for audio configuration altera de1 bored a good vhdl code
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:34016
    • 提供者:bil
  1. audioVHDL

    0下载:
  2. FPGA_Audio - project to implement and demonstrate audio on FPGA Using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1061315
    • 提供者:webking
  1. codec_ctrl.vhd

    0下载:
  2. codec controller for fpga design, audio synthesizer, vhdl
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:1382
    • 提供者:aabdelwa
  1. testbench_top_level.vhd

    0下载:
  2. testbench for top level, vhdl, audio synthesizer, top level
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1078
    • 提供者:aabdelwa
  1. streetlights-based-on-VHDL

    0下载:
  2. 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-12
    • 文件大小:18954
    • 提供者:wang
« 1 2 34 »
搜珍网 www.dssz.com